详细报错如图:

解决办法:

由于代码在编写时为方便仿真测试,因此使用大量(146个)input数据类型,导致在实现时FPGA没有足够多的IO输入进行映射,违反了实现规则(硬件资源不足)。

解决如下:在实际使用(非仿真情况)时146个input数据类型并不是并行通过146个IO输入,而是通过相关协议串行输入后进行处理,因此需要编写基于某种协议的接口文件,将数据进行串并转换,减少input数据类型的数量,则该问题可以得到解决。

Logo

鸿蒙生态一站式服务平台。

更多推荐